Bibliotheken geschreven in VHDL

GAIA3

  • 24

spi-to-axi-bridge

  • 21
  • Apache License 2.0

neorv32-riscof

  • 19
  • BSD 3-clause "New" or "Revised"

wb_spi_bridge

  • 19
  • BSD 3-clause "New" or "Revised"

simple-riscv

  • 19
  • MIT

karabas-128

  • 18
  • Do What The F*ck You Want To Public

kvm-ip-zynq

  • 17
  • MIT

fpu-sp

  • 17
  • Apache License 2.0

pocket-cnn

  • 16
  • Mozilla Public License 2.0

Flo-Posit

  • 14
  • GNU General Public License v3.0 only

Brutzelkarte_FPGA

  • 13
  • GNU General Public License v3.0 only

vunit_action

  • 13
  • MIT

rv16poc

  • 13
  • Apache License 2.0

ORCA-risc-v

  • 12
  • GNU General Public License v3.0

riscv-debug-dtm

  • 12
  • BSD 3-clause "New" or "Revised"

pico-png

  • 9
  • Mozilla Public License 2.0

Image-Generator-for-FPGA-Evaluation-Board

  • 7
  • GNU General Public License v3.0

FPGA-FIR-Filter

  • 7
  • GNU General Public License v3.0